Show patches with: Archived = No       |   15044 patches
« 1 2 ... 3 4 5150 151 »
Patch Series A/R/T S/W/F Date Submitter Delegate State
[07/12] bcm2835-dma: Support dma flags for multi-beat burst Add support for BCM2712 DMA engine - - - --- 2024-02-04 Andrea della Porta Changes Requested
[06/12] dmaengine: bcm2835: Use to_bcm2711_cbaddr where relevant Add support for BCM2712 DMA engine - - - --- 2024-02-04 Andrea della Porta Changes Requested
[05/12] bcm2835-dma: Derive slave DMA addresses correctly Add support for BCM2712 DMA engine - - - --- 2024-02-04 Andrea della Porta Changes Requested
[04/12] bcm2835-dma: Advertise the full DMA range Add support for BCM2712 DMA engine - - - --- 2024-02-04 Andrea della Porta Changes Requested
[03/12] bcm2835-dma: Add NO_WAIT_RESP, DMA_WIDE_SOURCE and DMA_WIDE_DEST flag Add support for BCM2712 DMA engine - - - --- 2024-02-04 Andrea della Porta Changes Requested
[02/12] bcm2835-dma: Add proper 40-bit DMA support Add support for BCM2712 DMA engine - - - --- 2024-02-04 Andrea della Porta Changes Requested
[01/12] bcm2835-dma: Add support for per-channel flags Add support for BCM2712 DMA engine - - - --- 2024-02-04 Andrea della Porta Changes Requested
[1/1] dmaengine: fsl-qdma: init irq after reg initialization [1/1] dmaengine: fsl-qdma: init irq after reg initialization - - - --- 2024-02-01 Frank Li Accepted
[1/1] dmaengine: fsl-qdma: fix SoC may hang on 16 byte unaligned read [1/1] dmaengine: fsl-qdma: fix SoC may hang on 16 byte unaligned read - - - --- 2024-02-01 Frank Li Accepted
[v2,2/2] dt-bindings: mmp-dma: convert to YAML dt-bindings: mmp-dma: YAML conversion - 1 - --- 2024-01-31 Duje Mihanović Accepted
[v2,1/2] ARM: dts: mmp2: drop iram property dt-bindings: mmp-dma: YAML conversion - - - --- 2024-01-31 Duje Mihanović Handled Elsewhere
[1/1] dmaengine: fsl-edma: correct calculation of 'nbytes' in multi-fifo scenario [1/1] dmaengine: fsl-edma: correct calculation of 'nbytes' in multi-fifo scenario - - - --- 2024-01-31 Frank Li Accepted
dmaengine: at_hdmac: add missing kernel-doc style description dmaengine: at_hdmac: add missing kernel-doc style description - 1 - --- 2024-01-30 Vinod Koul Accepted
dmaengine: idxd: Change wmb() to smp_wmb() when copying completion record to user space dmaengine: idxd: Change wmb() to smp_wmb() when copying completion record to user space 1 1 1 --- 2024-01-30 Fenghua Yu Changes Requested
dmaengine: idxd: Avoid unnecessary destruction of file_ida dmaengine: idxd: Avoid unnecessary destruction of file_ida - 1 - --- 2024-01-30 Fenghua Yu Changes Requested
[v6,6/6] Documentation: iio: Document high-speed DMABUF based API iio: new DMABUF based API, v6 - - - --- 2024-01-29 Paul Cercueil Changes Requested
[v6,5/6] iio: buffer-dmaengine: Support new DMABUF based userspace API iio: new DMABUF based API, v6 - - - --- 2024-01-29 Paul Cercueil Changes Requested
[v6,4/6] iio: buffer-dma: Enable support for DMABUFs iio: new DMABUF based API, v6 - - - --- 2024-01-29 Paul Cercueil Changes Requested
[v6,3/6] iio: core: Add new DMABUF interface infrastructure iio: new DMABUF based API, v6 - - - --- 2024-01-29 Paul Cercueil Changes Requested
[v6,2/6] dmaengine: dma-axi-dmac: Implement device_prep_slave_dma_vec iio: new DMABUF based API, v6 - - - --- 2024-01-29 Paul Cercueil Changes Requested
[v6,1/6] dmaengine: Add API function dmaengine_prep_slave_dma_vec() iio: new DMABUF based API, v6 - - - --- 2024-01-29 Paul Cercueil Changes Requested
[v7,6/6] dmaengine: dw-edma: eDMA: Add sync read before starting the DMA transfer in remote setup Fix support of dw-edma HDMA NATIVE IP in remote setup - 2 - --- 2024-01-29 Kory Maincent Accepted
[v7,5/6] dmaengine: dw-edma: HDMA: Add sync read before starting the DMA transfer in remote setup Fix support of dw-edma HDMA NATIVE IP in remote setup - 2 - --- 2024-01-29 Kory Maincent Accepted
[v7,4/6] dmaengine: dw-edma: Add HDMA remote interrupt configuration Fix support of dw-edma HDMA NATIVE IP in remote setup 1 1 - --- 2024-01-29 Kory Maincent Accepted
[v7,3/6] dmaengine: dw-edma: HDMA_V0_REMOTEL_STOP_INT_EN typo fix Fix support of dw-edma HDMA NATIVE IP in remote setup - 2 - --- 2024-01-29 Kory Maincent Accepted
[v7,2/6] dmaengine: dw-edma: Fix wrong interrupt bit set for HDMA Fix support of dw-edma HDMA NATIVE IP in remote setup - 2 - --- 2024-01-29 Kory Maincent Accepted
[v7,1/6] dmaengine: dw-edma: Fix the ch_count hdma callback Fix support of dw-edma HDMA NATIVE IP in remote setup 1 1 - --- 2024-01-29 Kory Maincent Accepted
dmaengine: pl08x: Use kcalloc() instead of kzalloc() dmaengine: pl08x: Use kcalloc() instead of kzalloc() - 1 - --- 2024-01-28 Erick Archer Changes Requested
dt-bindings: mmp-dma: convert to YAML dt-bindings: mmp-dma: convert to YAML - - - --- 2024-01-27 Duje Mihanović Changes Requested
[v2,7/7] arm64: dts: allwinner: h616: Add SPDIF device node arm64: sun50i-h616: Add DMA and SPDIF controllers 1 1 - --- 2024-01-27 Chen-Yu Tsai Handled Elsewhere
[v2,6/7] arm64: dts: allwinner: h616: Add DMA controller and DMA channels arm64: sun50i-h616: Add DMA and SPDIF controllers - 1 - --- 2024-01-27 Chen-Yu Tsai Handled Elsewhere
[v2,5/7] arm64: dts: allwinner: h6: Add RX DMA channel for SPDIF arm64: sun50i-h616: Add DMA and SPDIF controllers - 2 - --- 2024-01-27 Chen-Yu Tsai Handled Elsewhere
[v2,4/7] dt-bindings: dma: allwinner,sun50i-a64-dma: Add compatible for H616 arm64: sun50i-h616: Add DMA and SPDIF controllers 1 1 - --- 2024-01-27 Chen-Yu Tsai Accepted
[v2,3/7] ASoC: sunxi: sun4i-spdif: Add support for Allwinner H616 arm64: sun50i-h616: Add DMA and SPDIF controllers - 2 - --- 2024-01-27 Chen-Yu Tsai Handled Elsewhere
[v2,2/7] dt-bindings: sound: sun4i-spdif: Add Allwinner H616 compatible arm64: sun50i-h616: Add DMA and SPDIF controllers 1 1 - --- 2024-01-27 Chen-Yu Tsai Handled Elsewhere
[v2,1/7] dt-bindings: sound: sun4i-spdif: Fix requirements for H6 arm64: sun50i-h616: Add DMA and SPDIF controllers 1 1 - --- 2024-01-27 Chen-Yu Tsai Handled Elsewhere
dmaengine: ti: k3-psil-j721s2: Add entry for CSI2RX dmaengine: ti: k3-psil-j721s2: Add entry for CSI2RX - 1 - --- 2024-01-25 Vaishnav Achath Accepted
[v4,4/4] dmaengine: ti: k3-udma-glue: Add function to request RX chan for thread ID Add APIs to request TX/RX DMA channels for thread ID 1 - - --- 2024-01-24 Siddharth Vadapalli Accepted
[v4,3/4] dmaengine: ti: k3-udma-glue: Add function to request TX chan for thread ID Add APIs to request TX/RX DMA channels for thread ID 1 - - --- 2024-01-24 Siddharth Vadapalli Accepted
[v4,2/4] dmaengine: ti: k3-udma-glue: Update name for remote RX channel device Add APIs to request TX/RX DMA channels for thread ID 1 - - --- 2024-01-24 Siddharth Vadapalli Accepted
[v4,1/4] dmaengine: ti: k3-udma-glue: Add function to parse channel by ID Add APIs to request TX/RX DMA channels for thread ID 1 - - --- 2024-01-24 Siddharth Vadapalli Accepted
dmaengine: bestcomm: Code cleanup for bcom_sram_init dmaengine: bestcomm: Code cleanup for bcom_sram_init - - - --- 2024-01-24 Kunwu Chan Accepted
[1/1] dmaengine: fix is_slave_direction() return false when DMA_DEV_TO_DEV [1/1] dmaengine: fix is_slave_direction() return false when DMA_DEV_TO_DEV - - - --- 2024-01-23 Frank Li Accepted
[RESEND,v3] dmaengine: idxd: Convert spinlock to mutex to lock evl workqueue [RESEND,v3] dmaengine: idxd: Convert spinlock to mutex to lock evl workqueue - 3 - --- 2024-01-23 Rex Zhang Changes Requested
[v3] dmaengine: idxd: Convert spinlock to mutex to lock evl workqueue [v3] dmaengine: idxd: Convert spinlock to mutex to lock evl workqueue - 3 - --- 2024-01-23 Rex Zhang Superseded
[7/7] arm64: dts: allwinner: h616: Add SPDIF device node arm64: sun50i-h616: Add DMA and SPDIF controllers 1 1 - --- 2024-01-22 Chen-Yu Tsai Superseded
[6/7] arm64: dts: allwinner: h616: Add DMA controller and DMA channels arm64: sun50i-h616: Add DMA and SPDIF controllers - - - --- 2024-01-22 Chen-Yu Tsai Superseded
[5/7] arm64: dts: allwinner: h6: Add RX DMA channel for SPDIF arm64: sun50i-h616: Add DMA and SPDIF controllers - 2 - --- 2024-01-22 Chen-Yu Tsai Superseded
[4/7] dt-bindings: dma: allwinner,sun50i-a64-dma: Add compatible for H616 arm64: sun50i-h616: Add DMA and SPDIF controllers - - - --- 2024-01-22 Chen-Yu Tsai Superseded
[3/7] ASoC: sunxi: sun4i-spdif: Add support for Allwinner H616 arm64: sun50i-h616: Add DMA and SPDIF controllers - 2 - --- 2024-01-22 Chen-Yu Tsai Superseded
[2/7] dt-bindings: sound: sun4i-spdif: Add Allwinner H616 compatible arm64: sun50i-h616: Add DMA and SPDIF controllers 1 1 - --- 2024-01-22 Chen-Yu Tsai Superseded
[1/7] dt-bindings: sound: sun4i-spdif: Fix requirements for H6 arm64: sun50i-h616: Add DMA and SPDIF controllers 1 1 - --- 2024-01-22 Chen-Yu Tsai Superseded
dmaengine: at_hdmac: fix some kernel-doc warnings dmaengine: at_hdmac: fix some kernel-doc warnings - - - --- 2024-01-21 Randy Dunlap Accepted
[v1,6/6] PCI: epf-mhi: Add flag to enable HDMA for SA8775P Add Change to integrate HDMA with dwc ep driver - 1 - --- 2024-01-19 Mrinmay Sarkar Changes Requested
[v1,5/6] PCI: qcom-ep: Provide number of read/write channel for HDMA Add Change to integrate HDMA with dwc ep driver - - - --- 2024-01-19 Mrinmay Sarkar Changes Requested
[v1,4/6] dmaengine: dw-edma: Move HDMA_V0_MAX_NR_CH definition to edma.h Add Change to integrate HDMA with dwc ep driver - - - --- 2024-01-19 Mrinmay Sarkar Changes Requested
[v1,3/6] PCI: dwc: Add HDMA support Add Change to integrate HDMA with dwc ep driver - - - --- 2024-01-19 Mrinmay Sarkar Changes Requested
[v1,2/6] dmaengine: dw-edma: Introduce helpers for getting the eDMA/HDMA max channel count Add Change to integrate HDMA with dwc ep driver - - - --- 2024-01-19 Mrinmay Sarkar Changes Requested
[v1,1/6] dmaengine: dw-edma: Pass 'struct dw_edma_chip' to irq_vector() Add Change to integrate HDMA with dwc ep driver - 1 - --- 2024-01-19 Mrinmay Sarkar Changes Requested
[3/3] dmaengine: dw-edma: increase size of 'name' in debugfs code [1/3] dmaengine: shdma: increase size of 'dev_id' - 1 - --- 2024-01-19 Vinod Koul Accepted
[2/3] dmaengine: fsl-qdma: increase size of 'irq_name' [1/3] dmaengine: shdma: increase size of 'dev_id' - 1 - --- 2024-01-19 Vinod Koul Accepted
[1/3] dmaengine: shdma: increase size of 'dev_id' [1/3] dmaengine: shdma: increase size of 'dev_id' - - - --- 2024-01-19 Vinod Koul Accepted
dt-bindings: dmaengine: xilinx_dma: Remove DMA client binding dt-bindings: dmaengine: xilinx_dma: Remove DMA client binding 1 - - --- 2024-01-19 Pandey, Radhey Shyam Changes Requested
dmaengine: imx-sdma: fix Excess kernel-doc warnings dmaengine: imx-sdma: fix Excess kernel-doc warnings - - - --- 2024-01-19 Randy Dunlap Accepted
[1/1] dmaengine: fsl-dpaa2-qdma: Fix the size of dma pools [1/1] dmaengine: fsl-dpaa2-qdma: Fix the size of dma pools - - - --- 2024-01-18 Frank Li Accepted
dmaengine: pl330: Clear callback_result for re-used descs dmaengine: pl330: Clear callback_result for re-used descs - - - --- 2024-01-18 Daniel Scally Accepted
[v7,39/39] dma: cirrus: remove platform code ep93xx device tree conversion - - - --- 2024-01-18 Nikita Shubin via B4 Relay Changes Requested
[v7,10/39] dma: cirrus: Convert to DT for Cirrus EP93xx ep93xx device tree conversion - - - --- 2024-01-18 Nikita Shubin via B4 Relay Changes Requested
[v7,09/39] dt-bindings: dma: Add Cirrus EP93xx ep93xx device tree conversion - 1 - --- 2024-01-18 Nikita Shubin via B4 Relay Changes Requested
dmaengine: Add a null pointer check to the dma_request_chan dmaengine: Add a null pointer check to the dma_request_chan - - - --- 2024-01-18 Kunwu Chan Changes Requested
dmaengine: ti: edma: Add some null pointer checks to the edma_probe dmaengine: ti: edma: Add some null pointer checks to the edma_probe - - - --- 2024-01-18 Kunwu Chan Accepted
dmaengine: fsl-edma: fix Makefile logic dmaengine: fsl-edma: fix Makefile logic - - - --- 2024-01-10 Arnd Bergmann Changes Requested
dmaengine: sh: rz-dmac: Avoid format-overflow warning dmaengine: sh: rz-dmac: Avoid format-overflow warning - 1 - --- 2024-01-10 Prabhakar Accepted
dmaengine: usb-dmac: Avoid format-overflow warning dmaengine: usb-dmac: Avoid format-overflow warning - 1 - --- 2024-01-10 Prabhakar Accepted
MIPS: Alchemy: Fix an out-of-bound access in db1550_dev_setup() MIPS: Alchemy: Fix an out-of-bound access in db1550_dev_setup() - - - --- 2024-01-10 Christophe JAILLET Accepted
MIPS: Alchemy: Fix an out-of-bound access in db1200_dev_setup() MIPS: Alchemy: Fix an out-of-bound access in db1200_dev_setup() - - - --- 2024-01-10 Christophe JAILLET Accepted
crypto: iaa - Remove header table code crypto: iaa - Remove header table code - 1 - --- 2024-01-08 Tom Zanussi Handled Elsewhere
[3/3] dmaengine: fsl-qdma: Remove a useless devm_kfree() dmaengine: fsl-qdma: Fix some error handling paths - - - --- 2024-01-07 Christophe JAILLET Accepted
[2/3] dmaengine: fsl-qdma: Fix a memory leak related to the queue command DMA dmaengine: fsl-qdma: Fix some error handling paths - - - --- 2024-01-07 Christophe JAILLET Accepted
[1/3] dmaengine: fsl-qdma: Fix a memory leak related to the status queue DMA dmaengine: fsl-qdma: Fix some error handling paths - - - --- 2024-01-07 Christophe JAILLET Accepted
[v9,13/13] ARM: dts: stm32: put ETZPC as an access controller for STM32MP13x boards Introduce STM32 Firewall framework - - - --- 2024-01-05 Gatien CHEVALLIER Handled Elsewhere
[v9,12/13] ARM: dts: stm32: add ETZPC as a system bus for STM32MP13x boards Introduce STM32 Firewall framework - - - --- 2024-01-05 Gatien CHEVALLIER Handled Elsewhere
[v9,11/13] ARM: dts: stm32: put ETZPC as an access controller for STM32MP15x boards Introduce STM32 Firewall framework - - - --- 2024-01-05 Gatien CHEVALLIER Handled Elsewhere
[v9,10/13] ARM: dts: stm32: add ETZPC as a system bus for STM32MP15x boards Introduce STM32 Firewall framework - - - --- 2024-01-05 Gatien CHEVALLIER Handled Elsewhere
[v9,09/13] bus: etzpc: introduce ETZPC firewall controller driver Introduce STM32 Firewall framework - - - --- 2024-01-05 Gatien CHEVALLIER Handled Elsewhere
[v9,08/13] arm64: dts: st: add RIFSC as an access controller for STM32MP25x boards Introduce STM32 Firewall framework - - - --- 2024-01-05 Gatien CHEVALLIER Handled Elsewhere
[v9,07/13] bus: rifsc: introduce RIFSC firewall controller driver Introduce STM32 Firewall framework - - - --- 2024-01-05 Gatien CHEVALLIER Handled Elsewhere
[v9,06/13] of: property: fw_devlink: Add support for "access-controller" Introduce STM32 Firewall framework 1 - - --- 2024-01-05 Gatien CHEVALLIER Handled Elsewhere
[v9,05/13] firewall: introduce stm32_firewall framework Introduce STM32 Firewall framework - - - --- 2024-01-05 Gatien CHEVALLIER Handled Elsewhere
[v9,04/13] dt-bindings: bus: document ETZPC Introduce STM32 Firewall framework - 1 - --- 2024-01-05 Gatien CHEVALLIER Handled Elsewhere
[v9,03/13] dt-bindings: bus: document RIFSC Introduce STM32 Firewall framework - 1 - --- 2024-01-05 Gatien CHEVALLIER Handled Elsewhere
[v9,02/13] dt-bindings: treewide: add access-controllers description Introduce STM32 Firewall framework - 1 - --- 2024-01-05 Gatien CHEVALLIER Handled Elsewhere
[v9,01/13] dt-bindings: document generic access controllers Introduce STM32 Firewall framework - 1 - --- 2024-01-05 Gatien CHEVALLIER Handled Elsewhere
dmaengine: xilinx_dma: check for invalid vdma interleaved parameters dmaengine: xilinx_dma: check for invalid vdma interleaved parameters - 1 - --- 2024-01-05 Peter Korsgaard Accepted
dmaengine: ti: k3-udma: Report short packet errors dmaengine: ti: k3-udma: Report short packet errors 1 - - --- 2024-01-03 Jai Luthra Accepted
[v2] crypto: iaa - Account for cpu-less numa nodes [v2] crypto: iaa - Account for cpu-less numa nodes - - - --- 2023-12-27 Tom Zanussi Accepted
crypto: iaa - Account for cpu-less numa nodes crypto: iaa - Account for cpu-less numa nodes - - - --- 2023-12-26 Tom Zanussi Superseded
dmaengine: virt-dma:fix vchan_dma_desc_free_list list_del corruption. dmaengine: virt-dma:fix vchan_dma_desc_free_list list_del corruption. - - - --- 2023-12-26 yi.shao Changes Requested
[3/3] dmaengine: timb_dma: One function call less in td_alloc_init_desc() after error detection dmaengine: timb_dma: Adjustments for td_alloc_init_desc() - - - --- 2023-12-25 Markus Elfring Rejected
[2/3] dmaengine: timb_dma: Improve a size determination in td_alloc_init_desc() dmaengine: timb_dma: Adjustments for td_alloc_init_desc() - - - --- 2023-12-25 Markus Elfring Rejected
« 1 2 ... 3 4 5150 151 »